Welcome![Sign In][Sign Up]
Location:
Search - vhdl 51

Search list

[Other resource51单片机实现PC键盘

Description: 8051IP 核源代码(VHDL).RAR-8051IP nuclear source code (VHDL). RAR
Platform: | Size: 16538 | Author: 周辉 | Hits:

[source in ebookVHDL语言100例(普通下载)

Description: VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程死锁 第22例 振荡与死锁 第23例 振荡电路 第24例 分辨信号与分辨函数 第25例 信号驱动源 第26例 属性TRANSACTION和分辨信号 第27例 块保护及属性EVENT, 第28例 形式参数属性的测试 第29例 进程和并发语句 第30例 信号发送与接收 第31例 中断处理优先机制建模 第32例 过程限定 第33例 整数比较器及其测试 第34例 数据总线的读写 第35例 基于总线的数据通道 第36例 基于多路器的数据通道 第37例 四值逻辑函数 第38例 四值逻辑向量按位或运算 第39例 生成语句描述规则结构 第40例 带类属的译码器描述 第41例 带类属的测试平台 第42例 行为与结构的混合描述 第43例 四位移位寄存器 第44例 寄存/计数器 第45例 顺序过程调用 第46例 VHDL中generic缺省值的使用 第47例 无输入元件的模拟 第48例 测试激励向量的编写 第49例 delta延迟例释 第50例 惯性延迟分析 第51例 传输延迟驱动优先 第52例 多倍(次)分频器 第53例 三位计数器与测试平台 第54例 分秒计数显示器的行为描述6 第55例 地址计数器 第56例 指令预读计数器 第57例 加.c减.c乘指令的译码和操作 第58例 2-4译码器结构描述 第59例 2-4译码器行为描述 第60例 转换函数在元件例示中的应用 第61例 基于同一基类型的两分辨类型的赋值相容问题 第62例 最大公约数的计算 第63例 最大公约数七段显示器编码 第64例 交通灯控制器 第65例 空调系统有限状态自动机 第66例 FIR滤波器 第67例 五阶椭圆滤波器 第68例 闹钟系统的控制 第69例 闹钟系统的译码 第70例 闹钟系统的移位寄存器 第71例 闹钟系统的闹钟寄存器和时间计数器 第72例 闹钟系统的显示驱动器 第73例 闹钟系统的分频器 第74例 闹钟系统的整体组装 第75例 存储器 第76例 电机转速控制器 第77例 神经元计算机 第78例ccAm2901四位微处理器的ALU输入 第79例ccAm2901四位微处理器的ALU 第80例ccAm2901四位微处理器的RAM 第81例ccAm2901四位微处理器的寄存器 第82例ccAm2901四位微处理器的输出与移位 第83例ccAm2910四位微程序控制器中的多路选择器 第84例ccAm2910四位微程序控制器中的计数器/寄存器 第85例ccAm2910四位微程序控制器的指令计数器 第86例ccAm2910四位微程序控制器的堆栈 第87例 Am2910四位微程序控制器的指令译码器 第88例 可控制计数器 第89例 四位超前进位加法器 第90例 实现窗口搜索算法的并行系统(1)——协同处理器 第91例 实现窗口搜索算法的并行系统(2)——序列存储器 第92例 实现窗口搜索算法的并行系统(3)——字符串存储器 第93例 实现窗口搜索算法的并行系统(4)——顶层控制器 第94例 MB86901流水线行为描述组成框架 第95例 MB86901寄存器文件管理的描述 第96例 MB86901内ALU的行为描述 第97例 移位指令的行为描述 第98例 单周期指令的描述 第99例 多周期指令的描述 第100例 MB86901流水线行为模型
Platform: | Size: 344064 | Author: wfl.a@163.com | Hits:

[SCMmc8051_design

Description: MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core此公司提供的8051 core很容易在FPGA 上用同时也是学习VHDL的一份不错的进阶实例-MC8051 IP CoreOregano Systems 8-bit Microcontroller IP-Core company for the 8051 core very easy to use in FPGA VHDL is also studying a good example of the SSP
Platform: | Size: 557056 | Author: 寇锐 | Hits:

[Graph programUCLINUXS3C44B0移植

Description: 51 ip 核 vhdl 原代码 s3c44b0x 移植代码 -51 ip nuclear VHDL source code s3c44b0x transplant
Platform: | Size: 436224 | Author: MA | Hits:

[VHDL-FPGA-Verilogmcs_51_cpld

Description: 程序主要用硬件描述语言(VHDL)实现: 单片机与FPGA接口通信的问题-procedures major hardware description language (VHDL) to achieve : MCU and FPGA interface communication problems
Platform: | Size: 150528 | Author: 刘赛 | Hits:

[SCMoc8051_design

Description: 这是用VHDL来设计80C51的开发过程和代码! 处女作,大家一定要顶啊!-This is 80C51 VHDL design to the development process and code! The first time, we must Crack!
Platform: | Size: 323584 | Author: 冯海 | Hits:

[VHDL-FPGA-Verilogcore51_VHDL

Description: VHDL写的51单片机内核,实现51的全部工能,学习开发FPGA的参考资料。-VHDL wrote 51 microcontroller core, the realization of all the 51 workers may learn FPGA development of reference materials.
Platform: | Size: 95232 | Author: 杨标 | Hits:

[SCMcrc 51

Description: 51单片机的CRC程序,此程序是通过查表的办法进行计算,对于51单片机相当适用-51 SCM CRC procedure, this procedure is through the look-up table approach, for quite applicable MCU 51
Platform: | Size: 3072 | Author: 郭子旺 | Hits:

[ARM-PowerPC-ColdFire-MIPSAltera的IP源码8237

Description: 名鼎鼎的Synopsys公司出的8051IP Core VHDL语言编写,能被keilC51支持-renowned name of the company Synopsys 8051IP Core VHDL language, support can be keilC51
Platform: | Size: 207872 | Author: 上面的 | Hits:

[ARM-PowerPC-ColdFire-MIPSIPCORE

Description: 最简单的八位单片机8051的源代码,支持MCS51的汇编语言,可综合,VHDL语言描述,有测试环境-most simple eight SCM 8051 source code, a compilation support MCS51 language, integrated, VHDL description of a test environment
Platform: | Size: 137216 | Author: 许盛 | Hits:

[Static controlipcore51

Description: 51核,用VHDL编写,通过FPGA验证-51 nuclear, prepared with VHDL, FPGA verification
Platform: | Size: 977920 | Author: 汪平 | Hits:

[SCMsaa7120

Description: 视频编码芯片SAA7120驱动程序模块,51单片机,KEIL C编写-SAA7120 video encoder chip driver module, 51 microcontroller, C prepared KEIL
Platform: | Size: 1024 | Author: lidan | Hits:

[VHDL-FPGA-Verilogfftinterface

Description: 电赛一等奖作品:音频信号分析仪的FPGA源码,VHDL编写,Quartus7.1综合,ModelSim6.2g se仿真,应用了opencores.org上的开源FFT IP核,加入了8051总线接口和ram-Xinhua Cup first prize works: audio signal analyzer FPGA source, VHDL prepared, Quartus7.1 integrated, ModelSim6.2g se simulation, application of open source opencores.org on FFT IP core, joined the 8051 bus interface and ram
Platform: | Size: 4933632 | Author: 李星 | Hits:

[VHDL-FPGA-Verilog8051vhdl

Description: 用vhdl语言编写的MCU8051模块,结构清晰,十分有用-Using VHDL language MCU8051 modules, the structure of clear and very useful
Platform: | Size: 644096 | Author: seetang | Hits:

[VHDL-FPGA-Verilogaddr

Description:  M4A564/32 CPLD VHDLA程序,调试可用,51扩展.-M4A564/32 CPLD VHDLA procedures, debugging is available, 51 to expand.
Platform: | Size: 1024 | Author: 韦工 | Hits:

[VHDL-FPGA-Verilog00011ipcore51

Description: 51内核单片机的VHDL语言的实现,从功能到编译都有详细说明,包括源码-51-core single-chip realization of the VHDL language, from the function to compile a detailed description, including source
Platform: | Size: 739328 | Author: 林风 | Hits:

[VHDL-FPGA-Verilogwave_produce_VHDL

Description: --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量阶为1/51V)。 --其中方波的幅度还可通过u0、d0调节输出数据的归一化幅值(AMP0)进行进一步 --细调(调节量阶为1/(51*255)V)。方波A的占空比通过zu、zp按键调节(调节 --量阶1/64*T)。系统采用内部存储器——RAM实现任意输入波形的存储,程序只支 --持键盘式波形特征参数置入存储,posting 为进入任意波置入(set)、清除(clr)状态 --控制信号,SSS控制存储波形的输出。P180为预留端口, -err
Platform: | Size: 10240 | Author: huangsong | Hits:

[VHDL-FPGA-VerilogVHDL-8031-IPCore

Description: this a ipcode of 51 mcu!-this is a ipcode of 51 mcu!
Platform: | Size: 4596736 | Author: 张乾 | Hits:

[VHDL-FPGA-Verilog51-DDS

Description: 不仅包含FPGA源码还包含51单片机控制源码,已经实现DDS功能,绝对原创。-Includes not only the FPGA source code also includes a 51 SCM control source, has been achieved DDS functions, absolutely original.
Platform: | Size: 2185216 | Author: 张文琪 | Hits:

[VHDL-FPGA-Verilogdigital_clock

Description: 用于FPGA可编程逻辑器件的VHDL语言编写的6显示数字钟程序。51单片机驱动6个LED数码管。-Digital clock (VHDL language) for FPGA Development
Platform: | Size: 378880 | Author: 李哲 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net